首页 : 软件 : Xilinx : ISE Design Suite

Xilinx ISE Design Suite

软件版本
(2020-02-14)
14
支持系统
许可证 商用
分类 效率软件

软件概述

主要特点

  • 测试设计反应的刺激模拟
  • 动态功率降低的智能时钟门控
  • 定时重复性的设计保护
  • 部分重构以提高系统灵活性和降低成本

Xilinx ISE设计套件用于设计可定制的集成电路。最值得注意的是,该套件用于设计现场可编程阵列(FPGA),允许设计师或客户在制造后配置电路。ISE设计套件可用于Windows和Linux平台

ISE设计套件是为了让您能够综合和分析您的电子电路设计。这些电路是用一种称为硬件描述语言(HDL)的专用计算机语言编写的。使用该套件,您可以检查寄存器传输级别图,执行时序分析,并模拟不同的刺激来测试设计的反应

ISE设计套件有不同版本,如嵌入式、系统和网页包。虽然每个版本都封装了不同的特性,ISE设计套件提供了可以为灵活配置添加的工具,以提高您的生产力。可用的工具包括ChipScope工具包和嵌入式开发工具包,它们都是为WebPACK版本设计的。ChipScope工具包提供了高速FPGA设计中串行I/O通道的快速设置和调试,而嵌入式开发工具包是用于设计嵌入式处理系统的IDE

ISE设计套件是一个综合工具,允许您设计、分析和测试集成电路。它提供了一系列打包在不同版本中的强大功能,甚至允许您自定义工具的选择。Xilinx ISE设计套件是设计和测试集成电路设计的最佳选择

支持的文件格式

Xilinx ISE Design Suite 14 支持的文件扩展名

常见格式
.NPL 诺基亚播放列表文件
.XSVF Xilinx串行矢量格式文件
.NGC Xilinx生成的Netlist文件
.XISE 锡林克斯ISE项目文件
.ISE InstallShield Express项目文件
.BIT FinalCrypt加密数据文件
.NGD Xilinx Netlist文件
.ISC Xilinx设备配置文件
.JED Xilinx JEDEC编程文件
其他相关格式
.IPF 互换保存文件
.SVF 串行矢量格式文件
.BSDL 边界扫描描述语言文件
.BSD BSDL文件
.EDN EDIF实现网表文件
.VP Verilog加密源代码文件
.V Verilog源代码文件
.VHD 虚拟PC虚拟硬盘

更新时间: 2020-02-14